Startseite » Allgemein »

Kompetenzzentrum für Physikalische Implementierung

Allgemein
Kompetenzzentrum für Physikalische Implementierung

Kompetenzzentrum für Physikalische Implementierung
TES Electronic Solutions hat ein Kompetenzzentrum zur Implementierung von Digital- und Mixed-Signal ASIC Designs eröffnet. Für das Unternehmen war ASIC-Entwicklung schon immer eines der wichtigsten Standbeine. Die Dienstleistungen als ASIC Designhaus sind weithin bekannt und genießen einen hervorragenden Ruf. Die Entwicklungsleistung beim Kunden vor Ort oder von einem der sechs deutschen und zwei osteuropäischen Standorten aus, garantiert eine enge Zusammenarbeit mit den europäischen Kunden bei der Entwicklung von ASICs. Koordiniert vom Standort Düsseldorf aus, bietet man jetzt die Physikalische Implementierung von digitalen und mixed-signal Chips als dedizierten Service an. Innerhalb des Geschäftsfeldes System-on-Silicon wurde die Physikalische Implementierung zu einem Schlüsselbereich ausgebaut, weshalb jetzt hierfür ein eigenes Kompetenzzentrums (CC) etabliert wurde. Das CC besteht aus einem festen Expertenteam, das bereits vielfältige Erfahrungen mit CMOS Technologien im Bereich von 0,35µm bis hinab zu 40nm gesammelt hat. Angeboten wird die Physikalische Implementierung von digitalen ASICs, beginnend mit dem vom Kunden entwickelten RTL-Code oder der bereits synthetisierten Gatelevel-Netzliste als Input, bis hin zu den vom Unternehmen verifizierten GDS Daten für die Foundry. Durch die engen Beziehungen zu Halbleiterfabriken wie z.B. TowerJazz, X-Fab und GlobalFoundries werden kurze Einarbeitungszeiten für neue Projekte garantiert. CMOS Prozesse anderer Foundries stehen ebenfalls zur Verfügung, charakterisiert auf Automobil-, Industrie- und Militäranforderungen. Die Entwicklungsaktivitäten des Kunden werden durch die angebotene Physikalische Implementierung vervollständigt. Benutzt werden die jeweils aktuell verfügbaren EDA Tools von Cadence, Mentor und Synopsys. Durch entsprechende Implementierungsmethodiken werden Performance und Wirtschaftlichkeit in Betracht gezogen, wozu auch eine höhere Chipausbeute, Optimierung der Signalintegrität sowie massive Parallelisierung der Arbeitsschritte gehören. Die formale Verifikation der Daten sowie die Abstimmung von Timing Constraints für das Layout zählen genau wie die Static Timing Analyse zu den Standards. Ressourcen für Analog/Mixed Signal oder „full custom design“ werden vom Analog Designteam sowie Partnern innerhalb der Advans Group bereitgestellt.

Unsere Webinar-Empfehlung
INLINE – Der Podcast für Elektronikfertigung

Doris Jetter, Redaktion EPP und Sophie Siegmund Redaktion EPP Europe sprechen einmal monatlich mit namhaften Persönlichkeiten der Elektronikfertigung über aktuelle und spannende Themen, die die Branche umtreiben.

Hören Sie hier die aktuelle Episode:

Aktuelle Ausgabe
Titelbild EPP Elektronik Produktion und Prüftechnik 2
Ausgabe
2.2024
LESEN
ABO
Newsletter

Jetzt unseren Newsletter abonnieren

Webinare & Webcasts

Technisches Wissen aus erster Hand

Whitepaper

Hier finden Sie aktuelle Whitepaper

Videos

Hier finden Sie alle aktuellen Videos


Industrie.de Infoservice
Vielen Dank für Ihre Bestellung!
Sie erhalten in Kürze eine Bestätigung per E-Mail.
Von Ihnen ausgesucht:
Weitere Informationen gewünscht?
Einfach neue Dokumente auswählen
und zuletzt Adresse eingeben.
Wie funktioniert der Industrie.de Infoservice?
Zur Hilfeseite »
Ihre Adresse:














Die Konradin Verlag Robert Kohlhammer GmbH erhebt, verarbeitet und nutzt die Daten, die der Nutzer bei der Registrierung zum Industrie.de Infoservice freiwillig zur Verfügung stellt, zum Zwecke der Erfüllung dieses Nutzungsverhältnisses. Der Nutzer erhält damit Zugang zu den Dokumenten des Industrie.de Infoservice.
AGB
datenschutz-online@konradin.de